Исследование цифровых устройств на основе программируемых логических интегральных схем (ПЛИС) в среде Quartus II

Лаборатория с удаленным доступом. ПЛИСЛабораторная работа выполняется с помощью учебного лабораторного стенда LESO2.

1 Цель работы

Целью работы является изучение способов создания цифровых устройств (основных логических элементов) на основе ПЛИС в среде Quartus II.

2 Краткие сведения о системе автоматизированного проектирования цифровых устройств – Quartus II

2.1 ПЛИС

Программируемые логические интегральные схемы – ПЛИС являются одними из самых перспективных элементов цифровой схемотехники. ПЛИС представляет собой кристалл, на котором расположено большое количество простых логических элементов. Изначально эти элементы не соединены между собой. Соединение элементов (превращение разрозненных элементов в электрическую схему) осуществляется с помощью электронных ключей, расположенных в этом же кристалле. Электронные ключи управляются специальной памятью, в ячейки которой заносится код конфигурации цифровой схемы. Таким образом, записав в память ПЛИС определенные коды, можно собрать цифровое устройство любой степени сложности (это зависит от количества элементов на кристалле и параметров ПЛИС). В отличие от микропроцессоров, в ПЛИС можно организовать алгоритмы цифровой обработки на аппаратном (схемном) уровне. При этом быстродействие цифровой обработки резко возрастает. Достоинствами технологии проектирования устройств на основе ПЛИС являются:

  • минимальное время разработки схемы (нужно лишь занести в память ПЛИС конфигурационный код);
  • в отличие от обычных элементов цифровой схемотехники здесь отпадает необходимость в разработке и изготовлении сложных печатных плат;
  • быстрое преобразование одной конфигурации цифровой схемы в другую (замена кода конфигурации схемы в памяти);
  • для создания устройств на основе ПЛИС не требуется сложное технологическое производство. ПЛИС конфигурируется с помощью персонального компьютера на столе разработчика. Потому иногда эту технологию называют «фабрикой на столе».

Узнать больше об устройстве ПЛИС можно из статьи:
Архитектура ПЛИС. Часть 1. Логический элемент

2.2 Система автоматизированного проектирования Quartus II

Одним из мировых лидеров по производству ПЛИС является фирма Altera. Для создания цифровых устройств на основе своих изделий Altera разработала специальную программную среду Quartus II. Эта среда позволяет:

  • с помощью графического редактора ввести в память персонального компьютера электрическую схему;
  • проверить и исправить ошибки;
  • определить параметры и характеристики разработанного устройства;
  • сформировать файл конфигурации для конкретной ПЛИС;
  • загрузить этот файл в память интегральной схемы.

2.2.1 Создание проекта

Работа в среде Quartus II начинается с действий, которые называют созданием проекта. Прежде всего, необходимо создать папку для хранения файлов проекта. Имя папки желательно вводить латинскими буквами. Затем следует запустить программу Quartus II. Открыв пакет, Quartus II выбираем из меню File пункт New Project Wizard - мастер создания новых проектов. В открывшемся окне нажимаем кнопку Next и попадаем в окно для задания текущей директории проекта. Заполняем три строки как, показано на рисунке 2.1. В данном случае текущий проект будет назван LAB1. Проект будет создан в папке LAB на рабочем столе. Нажимаем кнопку Finish и подтверждаем создание проекта.

Меню задания текущей директории проекта
Рисунок 2.1 - Меню задания текущей директории проекта

2.2.2 Работа в графическом редакторе

Графический редактор предназначен для ввода принципиальной схемы устройства. Для создания файла, который будет содержать принципиальную схему устройства (после создания проекта) следует выполнить команду New меню File. В появившемся диалоговым окне (Рисунок.2.2) на вкладке Devise Design File следует выбрать тип файла Block Diagram/Schematic File и нажать OK.

Выбор типа файла проекта
Рисунок 2.2 - Выбор типа файла проекта

В результате откроется окно графического редактора с файлом Block1.bdf, в котором создается схема (Рисунок 2.3).

Окно графического редактора
Рисунок 2.3 - Окно графического редактора.

После создания файла проекта становится активной панель инструментов, расположенная слева от рабочей области окна. Для ввода элемента схемы следует «щелкнуть» по Symbol Tool (Рисунок 2.3). В результате откроется окно с библиотеками элементов. Следует обратить внимание, что графические изображения элементов схемы в системе Quartus II отличаются от обозначений, принятых в России. В таблице 1 приведены российские изображения и соответствующие им обозначения элементов в системе Quartus II.

Например, для ввода логического элемента «И» следует выбрать библиотеку primitives/logic. После размещения компонентов на схеме следует разместить входные (input) и выходные (output) контакты, которые находятся в папке primitives/pin. Соединение компонентов производится следующим образом: переместить курсор в одну из двух точек схемы, которые нужно соединить, нажать левую кнопку мыши и, не отпуская ее, перемешать курсор ко второй из соединяемых точек. Далее следует переименовать входные и выходные контакты. Для этого дважды щелкаем левой кнопкой мыши по имени контакта и редактируем его. В результате получим схему, изображенную на рисунке 2.4.

Схема после переименования входов и выходов
Рисунок 2.4 - Схема после переименования входов и выходов.

Таблица 2.1 – Cоответствия российских обозначений элементов и обозначений в Quartus II.

Название элемента Российское обозначение Название элемента в Quartus Обозначение в Quartus
«И»
И
and
 
and
«И-НЕ»
И-НЕ
nand
 
and
«ИЛИ»
ИЛИ
or
 
or
«ИЛИ-НЕ»
ИЛИ-НЕ
nor
 
nor
«ИСКЛЮЧАЮЩЕЕ
ИЛИ»
ИСКЛЮЧАЮЩЕЕ ИЛИ
xor
 
xor
«ИСКЛЮЧАЮЩЕЕ
ИЛИ-НЕ»
ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ
xnor
 
xnor
«НЕ»
НЕ
not
 
not

 

2.2.3 Компиляция проекта

Перед осуществлением компиляции произведем выбор типа кристалла. Для этого выбираем пункт Device… из меню Assignments. В открывшемся окне в строке Family выберем семейство Cyclon, а в окне Available Devices выберем конкретное устройство EP1C3T144C8. Нажатием кнопки OK подтвердим выбор кристалла. Для запуска процесса компиляции выберем пункт Start Compilation из меню Processing. Подтвердим сохранение текущего файла и ожидаем окончания процесса компиляции. По окончании компиляции появляется окно с сообщением о результатах компиляции и количестве ошибок и предупреждений.

2.2.4 Подключение схемы к внешним выводам ПЛИС

После того, как произведена компиляция проекта следует подключить входные и выходные контакты к внешним выводам ПЛИС. Для этого следует выбрать Assignments/Pins. В результате появится окно (Рисунок 2.5)

Окно подключения схемы к внешним выводам
Рисунок 2.5 – Окно подключения схемы к внешним выводам

В колонке Node Name располагаются имена входов и выходов схемы. Для их подключения к выводам ПЛИС следует дважды «щелкнуть» по соответствующему элементу в колонке Location и выбрать вывод, к которому нужно подключить вход (выход) электрической схемы. Можно также просто переместить соответствующее имя (например, X1) на вывод, изображенный на рисунке ПЛИС. После подключения всех выводов следует еще раз скомпилировать проект. В результате требуемая схема примет вид, изображенный на рисунке 2.6.

Схема после подключения внешних выводов
Рисунок 2.6 – Схема после подключения внешних выводов.

2.2.5 Загрузка файла конфигурации в ПЛИС

В результате компиляции проекта в среде QUARTUS формируется файл конфигурации ПЛИС с расширением *.sof. Однако, для конфигурирования ПЛИС через порт USB персонального компьютера требуется файл с расширением *.rbf. Нужно настроить проект таким образом, чтобы файл *.rbf формировался автоматически в процессе компиляции. Для этого в закладке Settings в меню Assignments нужно нажать кнопку Devise & pin Options. Далее в разделе Programming file необходимо установить галочку Raw Binary File (*.rbf). Загрузка файла *.rbf в ПЛИС производится с помощью отдельной программы – загрузчика (l2flash.exe). После запуска этой программы появляется окно, изображенное на рисунке 2.8.

Окно загрузчика l2flash.exe 5,11КБ
Рисунок 2.7 – Окно загрузчика l2flash.exe

В этом окне следует указать путь к файлу конфигурации, и нажать кнопку программировать. В результате произойдет программирование ПЛИС. Можно приступать к исследованию схемы.

3 Описание стенда

Все лабораторные работы выполняются на стенде, основой которого является ПЛИС семейства Cyclon EP1C3T144C8. Принципиальная схема стенда приведена в приложении к LESO2. Стенд подключается к персональному компьютеру через порт USB. К выводам ПЛИС (D5) подключены 8 светодиодов (VD1-VD8), которые могут быть использованы как индикаторы логических уровней в различных точках схемы. Два 7-сегментных индикатора (D6) предназначены для индикации цифр от 0 до 9. На элементах D4.1 и D4.2 собран генератор тактовых импульсов с частотой 6 МГц, стабилизированный кварцевым резонатором ZQ2. Элемент S1 представляет собой одиночную кнопку, формирующую два логических уровня (0 и 1). 8 переключателей SB1-SB8 так же предназначены для формирования логических уровней на входах ПЛИС. Светодиоды VD9-VD16 используются для сигнализации состояния переключателей. Микросхемы D2 и D3 - стабилизаторы напряжения питания ПЛИС. Выводы конфигурирования ПЛИС подключены к разъему (X1) порта USB персонального компьютера с помощью микросхемы D1.

4 Задание к работе

4.1 С помощью системы Quartus II собрать схему для исследования логического элемента «И» (Рисунок 4.1).

Схема исследования элемента «И»
Рисунок 4.1 – Схема исследования элемента «И»

Для сборки исследуемой схемы необходимо посмотреть на схему подключения соответствующих переключателей и светодиодов к ПЛИС. Из схемы стенда видно, что переключатели SB7 и SB8 подключены к выводам 55 и 56, а светодиод VD1 к выводу 128 ПЛИС. Таким образом, схема исследования, созданная с помощью системы Quartus II будет выглядеть так, как показано на рисунке 2.6. После загрузки файла конфигурации в ПЛИС провести исследование логического элемента. Для этого с помощью переключателей SB7 и SB8 последовательно установить возможные комбинации логических уровней на входах элемента «И». При этом каждый раз контролировать логический уровень на выходе элемента «И». Если светодиод VD1 светится – это логическая единица, иначе – логический ноль. По результатам исследования заполнить таблицу 4.1.

Таблица 4.1 – Таблица истинности логического элемента

X1 X2 Y
0 0 ..
0 1 ..
1 0 ..
1 1 ..

Записать логическое выражение, соответствующее полученной таблице истинности.

4.2 Исследовать логический элемент «ИЛИ». Для этого повторить все этапы, выполняемые в п.п.4.1. Для сборки схемы можно использовать уже имеющийся проект, созданный в п.п. 4.1, отредактировав предыдущую исследуемую схему.

4.3 Аналогично провести исследование логических элементов «И-НЕ», «ИЛИ-НЕ», исключающее «ИЛИ», исключающее «ИЛИ-НЕ».

5 Содержание отчета

  1. Цель работы.
  2. Схема исследования логических элементов.
  3. Схемы конфигурации ПЛИС в среде Quartus II.
  4. Таблицы истинности исследуемых логических элементов.
  5. Логические выражения для каждого элемента.
  6. Выводы.

6 Контрольные вопросы

  1. Расскажите что такое ПЛИС.
  2. Расскажите о преимуществах ПЛИС.
  3. Что такое файл конфигурации ПЛИС?
  4. Каким образом производится конфигурирование ПЛИС?
  5. Назначение системы автоматизированного проектирования Quartus II.
  6. Этапы создания проекта в системе Quartus II.
  7. Работа с графическим редактором Quartus II.
  8. Как производится подключение электрической схемы внутри ПЛИС кnвнешним выводам?
  9. Расскажите, как устроен лабораторный стенд.
  10. Изобразите схему исследования логических элементов.
  11. Приведите условное графическое изображение основных логических элементов в соответствии с российскими стандартами и в системе Quartus II.
  12. Запишите логические выражения, соответствующие основным логическим элементам.
  13. Что такое таблица истинности?
  14. Изобразите таблицы истинности основных логических элементов.
Орфографическая ошибка в тексте:
Чтобы сообщить об ошибке автору, нажмите кнопку "Отправить сообщение об ошибке". Вы также можете отправить свой комментарий.